summaryrefslogtreecommitdiff
Commit message (Expand)AuthorAgeFilesLines
* Merge tag 'efi-2020-04-rc1-2' of https://gitlab.denx.de/u-boot/custodians/u-b...WIP/15Jan2020Tom Rini2020-01-157-11/+55
|\
| * efi_loader: imply VIDEO_ANSIHeinrich Schuchardt2020-01-151-0/+1
| * efi_driver: debug output efi_uc_start, efi_uc_stopHeinrich Schuchardt2020-01-151-2/+2
| * efi_driver: fix efi_uc_stop()Heinrich Schuchardt2020-01-152-5/+6
| * efi_selftest: enable CONFIG_CMD_POWEROFFHeinrich Schuchardt2020-01-151-0/+1
| * efi_loader: describe returning of controlHeinrich Schuchardt2020-01-151-4/+4
| * efi_loader: document functions in efi_rng.cHeinrich Schuchardt2020-01-152-0/+41
* | Merge tag 'u-boot-imx-20200115' of https://gitlab.denx.de/u-boot/custodians/u...Tom Rini2020-01-1579-275/+4882
|\ \
| * | imx: imxrt1050-evk: Add support for the NXP i.MXRT1050-EVKGiulio Benetti2020-01-1412-0/+555
| * | imx: Add basic support for the NXP IMXRT10xx SoC familyGiulio Benetti2020-01-1411-3/+140
| * | mmc: fsl_esdhc: add compatible for fsl, imxrt-usdhcGiulio Benetti2020-01-142-2/+3
| * | mmc: fsl_esdhc: make if(CONFIG_IS_ENABLED(CLK)) an #if statementGiulio Benetti2020-01-141-19/+19
| * | ram: add SDRAM driver for i.MXRT SoCsGiulio Benetti2020-01-144-0/+549
| * | serial_lpuart: add support for i.MXRTGiulio Benetti2020-01-142-5/+13
| * | serial_lpuart: add clock enable if CONFIG_CLK is definedGiulio Benetti2020-01-141-0/+16
| * | ARM: dts: imxrt1050: add dtsi fileGiulio Benetti2020-01-142-0/+1139
| * | gpio: mxc_gpio: add support for i.MXRT1050Giulio Benetti2020-01-141-3/+4
| * | pinctrl: add i.MXRT driverGiulio Benetti2020-01-143-0/+55
| * | clk: imx: add i.IMXRT1050 clk driverGiulio Benetti2020-01-144-0/+375
| * | clk: imx: pfd: add set_rate()Giulio Benetti2020-01-141-0/+22
| * | clk: imx: pllv3: add support for PLLV3_AV typeGiulio Benetti2020-01-141-0/+76
| * | clk: imx: pllv3: add PLLV3_SYS supportGiulio Benetti2020-01-141-0/+53
| * | clk: imx: pllv3: add set_rate() supportGiulio Benetti2020-01-141-0/+27
| * | clk: imx: pllv3: add disable() supportGiulio Benetti2020-01-141-0/+16
| * | clk: imx: pllv3: add enable() supportGiulio Benetti2020-01-141-0/+24
| * | clk: imx: pllv3: set div_mask differently if PLLV3 is GENERIC or USBGiulio Benetti2020-01-141-0/+2
| * | clk: imx: pllv3: register PLLV3 GENERIC and USB as 2 different clocksGiulio Benetti2020-01-141-5/+15
| * | armv7m: cache: add mmu_set_region_dcache_behaviour() stub for compatibilityGiulio Benetti2020-01-141-0/+6
| * | spl: fix entry_point equal to load_addrGiulio Benetti2020-01-141-2/+2
| * | imx: dts: imx8dx: add I2C IPG clock for bus 0 and 2Anatolij Gustschin2020-01-141-4/+6
| * | clk: imx8qxp: extend to support getting I2C IPG clockAnatolij Gustschin2020-01-141-0/+12
| * | ARM: dts: imx6ul-14x14-evk: Pass the "broken-cd" propertyFabio Estevam2020-01-141-0/+1
| * | mmc: fsl_esdhc_imx: Handle the "broken-cd" propertyFabio Estevam2020-01-141-0/+8
| * | pico-imx7d: Convert to DM_ETHJoris Offouga2020-01-148-57/+30
| * | pico-imx7d: Disable USB_ETHER support for bl33 defconfigJoris Offouga2020-01-141-3/+0
| * | mx6ul_14x14_evk: Fix SPL boot hangFabio Estevam2020-01-141-155/+20
| * | imx: imx8qxp_mek: update READMEPeng Fan2020-01-141-15/+11
| * | wandboard: Do not print error message when PMIC is absentFabio Estevam2020-01-141-1/+1
| * | imx8qxp_mek: Select CONFIG_NET_RANDOM_ETHADDRFabio Estevam2020-01-141-0/+1
| * | imx: add imx8x based deneb boardAnatolij Gustschin2020-01-147-0/+153
| * | imx: add imx8x capricorn giedi boardAnatolij Gustschin2020-01-1418-1/+1528
| |/
* | Merge branch 'master' of git://git.denx.de/u-boot-tegraTom Rini2020-01-141-0/+3
|\ \ | |/ |/|
| * tegra: fdt: Add tegra186-u-boot.dtsiStephen Warren2020-01-141-0/+3
|/
* travis: Switch to QEMU v4.2.0 globallyWIP/update-travisTom Rini2020-01-111-3/+1
* gitlab/azure: Update to latest containerTom Rini2020-01-102-2/+2
* Merge branch '2020-01-10-master-imports'Tom Rini2020-01-10180-1211/+2496
|\
| * cmd: adtimg: Refactor usage styleWIP/2020-01-10-master-importsEugeniu Rosca2020-01-101-59/+158
| * cmd: adtimg: Rename internal symbolsEugeniu Rosca2020-01-101-25/+26
| * dtimg/am57xx_evm_defconfig: Rename dtimg to adtimgEugeniu Rosca2020-01-107-7/+7
| * virtio: fix typo devicdHeinrich Schuchardt2020-01-101-1/+1