summaryrefslogtreecommitdiff
path: root/test
diff options
context:
space:
mode:
Diffstat (limited to 'test')
-rw-r--r--test/stress.c2
-rw-r--r--test/timer_dos.py4
2 files changed, 2 insertions, 4 deletions
diff --git a/test/stress.c b/test/stress.c
index d5c6f3a901..d357c389c5 100644
--- a/test/stress.c
+++ b/test/stress.c
@@ -56,8 +56,6 @@ struct i2c_test_param_t {
/* ADC test */
#define ADC_TEST_ITERATION 2000
-/* TODO(victoryang): PECI test */
-
/*****************************************************************************/
/* Test utilities */
diff --git a/test/timer_dos.py b/test/timer_dos.py
index 86d9b7ab49..5b7e1f7d40 100644
--- a/test/timer_dos.py
+++ b/test/timer_dos.py
@@ -20,7 +20,7 @@ def period_us(num):
# build the same pseudo random sequence as the target
def build_sequence():
- #TODO
+ # TODO(crosbug.com/p/23800): implement
return []
def test(helper):
@@ -34,7 +34,7 @@ def test(helper):
# Check the results
model = build_sequence()
- #TODO
+ # TODO(crosbug.com/p/23800): implement
helper.trace("Got %d timer IRQ\n" % len(seq))