summaryrefslogtreecommitdiff
path: root/sim/testsuite/sim/m32r/cmpu.cgs
diff options
context:
space:
mode:
Diffstat (limited to 'sim/testsuite/sim/m32r/cmpu.cgs')
-rw-r--r--sim/testsuite/sim/m32r/cmpu.cgs23
1 files changed, 23 insertions, 0 deletions
diff --git a/sim/testsuite/sim/m32r/cmpu.cgs b/sim/testsuite/sim/m32r/cmpu.cgs
new file mode 100644
index 00000000000..e0b4ef10180
--- /dev/null
+++ b/sim/testsuite/sim/m32r/cmpu.cgs
@@ -0,0 +1,23 @@
+# m32r testcase for cmpu $src1,$src2
+# mach(): m32r m32rx
+
+ .include "testutils.inc"
+
+ start
+
+ .global cmpu
+cmpu:
+ mvi_h_condbit 0
+ mvi_h_gr r4, 1
+ mvi_h_gr r5, -2
+ cmpu r4, r5
+ bc ok
+not_ok:
+ fail
+ok:
+ mvi_h_condbit 1
+ mvi_h_gr r4, -1
+ cmpu r4, r5
+ bc not_ok
+
+ pass