summaryrefslogtreecommitdiff
path: root/sim/testsuite/d30v-elf/ls-stb.S
diff options
context:
space:
mode:
Diffstat (limited to 'sim/testsuite/d30v-elf/ls-stb.S')
-rw-r--r--sim/testsuite/d30v-elf/ls-stb.S12
1 files changed, 12 insertions, 0 deletions
diff --git a/sim/testsuite/d30v-elf/ls-stb.S b/sim/testsuite/d30v-elf/ls-stb.S
new file mode 100644
index 00000000000..720a85b2dcc
--- /dev/null
+++ b/sim/testsuite/d30v-elf/ls-stb.S
@@ -0,0 +1,12 @@
+ add r2, r0, hello
+ add r4, r0, 'H'
+ stb r4, @(r2,0) || nop
+ # putstr
+ .long 0x0e000001, 0x00f00000
+ # finished
+ add r2, r0, r0 || nop
+ .long 0x0e000004, 0x00f00000
+
+ .align 3
+hello:
+ .ascii "?ello World\n"