summaryrefslogtreecommitdiff
path: root/sim/testsuite/d30v-elf/br-bratzr.S
diff options
context:
space:
mode:
Diffstat (limited to 'sim/testsuite/d30v-elf/br-bratzr.S')
-rw-r--r--sim/testsuite/d30v-elf/br-bratzr.S12
1 files changed, 12 insertions, 0 deletions
diff --git a/sim/testsuite/d30v-elf/br-bratzr.S b/sim/testsuite/d30v-elf/br-bratzr.S
new file mode 100644
index 00000000000..783336eae85
--- /dev/null
+++ b/sim/testsuite/d30v-elf/br-bratzr.S
@@ -0,0 +1,12 @@
+ add r3, r0, dest1
+ sub r3, r3, off1
+off1:
+ bratzr r2, r3
+
+ .align 4
+dest1:
+ bratzr r3, dest2
+ .long 0x0e000004, 0x00f00000
+
+ .align 5
+dest2: