summaryrefslogtreecommitdiff
path: root/sim/testsuite/d10v-elf/t-mvtac.s
diff options
context:
space:
mode:
Diffstat (limited to 'sim/testsuite/d10v-elf/t-mvtac.s')
-rw-r--r--sim/testsuite/d10v-elf/t-mvtac.s19
1 files changed, 19 insertions, 0 deletions
diff --git a/sim/testsuite/d10v-elf/t-mvtac.s b/sim/testsuite/d10v-elf/t-mvtac.s
new file mode 100644
index 00000000000..68452dc827a
--- /dev/null
+++ b/sim/testsuite/d10v-elf/t-mvtac.s
@@ -0,0 +1,19 @@
+.include "t-macros.i"
+
+ start
+
+ ldi r8, 0xbeef
+ mvtaclo r8, a0
+ checkacc2 1 a0 0xff 0xffff 0xbeef
+
+ mvtacg r0, a0
+ checkacc2 2 a0 0x00 0xffff 0xbeef
+
+ ldi r8, 0xdead
+ mvtachi r8, a0
+ checkacc2 3 a0 0xff 0xdead 0xbeef
+
+ loadacc2 a1 0xfe 0xbeef 0xdead
+ checkacc2 4 a1 0xfe 0xbeef 0xdead
+
+ exit0