summaryrefslogtreecommitdiff
diff options
context:
space:
mode:
authorEdward Cragg <edward.cragg@codethink.co.uk>2015-05-29 17:20:30 +0100
committerEdward Cragg <edward.cragg@codethink.co.uk>2015-06-01 15:55:58 +0100
commit3d419f4c3e4515e5725ceb0717d66550e6490a10 (patch)
tree10b8c104ba0950cd4b199a9e0e7dabee003a7ab7
downloadbsp-support-3d419f4c3e4515e5725ceb0717d66550e6490a10.tar.gz
SoCFPGA: Add example Quartus files
These files can be used to re-create the Quartus project needed to generate the headers required to build the SPL preloader Change-Id: Ic5fc0b9e629244e8687305fbcb2207c029d64527
-rw-r--r--example/constrain_clocks.sdc3
-rw-r--r--example/hps.qsys616
2 files changed, 619 insertions, 0 deletions
diff --git a/example/constrain_clocks.sdc b/example/constrain_clocks.sdc
new file mode 100644
index 0000000..840b227
--- /dev/null
+++ b/example/constrain_clocks.sdc
@@ -0,0 +1,3 @@
+create_clock -name "clk_50mhz" -period 20.000ns clk_clk
+derive_pll_clocks -create_base_clocks
+derive_clock_uncertainty \ No newline at end of file
diff --git a/example/hps.qsys b/example/hps.qsys
new file mode 100644
index 0000000..da3eb71
--- /dev/null
+++ b/example/hps.qsys
@@ -0,0 +1,616 @@
+<?xml version="1.0" encoding="UTF-8"?>
+<system name="$${FILENAME}">
+ <component
+ name="$${FILENAME}"
+ displayName="$${FILENAME}"
+ version="1.0"
+ description=""
+ tags=""
+ categories="System" />
+ <parameter name="bonusData"><![CDATA[bonusData
+{
+ element $${FILENAME}
+ {
+ datum _originalDeviceFamily
+ {
+ value = "Cyclone V";
+ type = "String";
+ }
+ }
+ element clk_0
+ {
+ datum _sortIndex
+ {
+ value = "0";
+ type = "int";
+ }
+ }
+ element hps_0
+ {
+ datum _sortIndex
+ {
+ value = "1";
+ type = "int";
+ }
+ }
+}
+]]></parameter>
+ <parameter name="clockCrossingAdapter" value="HANDSHAKE" />
+ <parameter name="device" value="5CSXFC6D6F31C8ES" />
+ <parameter name="deviceFamily" value="Cyclone V" />
+ <parameter name="deviceSpeedGrade" value="8_H6" />
+ <parameter name="fabricMode" value="QSYS" />
+ <parameter name="generateLegacySim" value="false" />
+ <parameter name="generationId" value="0" />
+ <parameter name="globalResetBus" value="false" />
+ <parameter name="hdlLanguage" value="VERILOG" />
+ <parameter name="hideFromIPCatalog" value="false" />
+ <parameter name="lockedInterfaceDefinition" value="" />
+ <parameter name="maxAdditionalLatency" value="1" />
+ <parameter name="projectName">baserock-on-socfpga.qpf</parameter>
+ <parameter name="sopcBorderPoints" value="false" />
+ <parameter name="systemHash" value="0" />
+ <parameter name="testBenchDutName" value="" />
+ <parameter name="timeStamp" value="0" />
+ <parameter name="useTestBenchNamingPattern" value="false" />
+ <instanceScript></instanceScript>
+ <interface name="clk" internal="clk_0.clk_in" type="clock" dir="end" />
+ <interface name="hps_io" internal="hps_0.hps_io" type="conduit" dir="end" />
+ <interface name="memory" internal="hps_0.memory" type="conduit" dir="end" />
+ <interface name="reset" internal="clk_0.clk_in_reset" type="reset" dir="end" />
+ <module name="clk_0" kind="clock_source" version="15.0" enabled="1">
+ <parameter name="clockFrequency" value="50000000" />
+ <parameter name="clockFrequencyKnown" value="true" />
+ <parameter name="inputClockFrequency" value="0" />
+ <parameter name="resetSynchronousEdges" value="NONE" />
+ </module>
+ <module name="hps_0" kind="altera_hps" version="15.0" enabled="1">
+ <parameter name="ABSTRACT_REAL_COMPARE_TEST" value="false" />
+ <parameter name="ABS_RAM_MEM_INIT_FILENAME" value="meminit" />
+ <parameter name="ACV_PHY_CLK_ADD_FR_PHASE" value="0.0" />
+ <parameter name="AC_PACKAGE_DESKEW" value="false" />
+ <parameter name="AC_ROM_USER_ADD_0" value="0_0000_0000_0000" />
+ <parameter name="AC_ROM_USER_ADD_1" value="0_0000_0000_1000" />
+ <parameter name="ADDR_ORDER" value="0" />
+ <parameter name="ADD_EFFICIENCY_MONITOR" value="false" />
+ <parameter name="ADD_EXTERNAL_SEQ_DEBUG_NIOS" value="false" />
+ <parameter name="ADVANCED_CK_PHASES" value="false" />
+ <parameter name="ADVERTIZE_SEQUENCER_SW_BUILD_FILES" value="false" />
+ <parameter name="AFI_DEBUG_INFO_WIDTH" value="32" />
+ <parameter name="ALTMEMPHY_COMPATIBLE_MODE" value="false" />
+ <parameter name="AP_MODE" value="false" />
+ <parameter name="AP_MODE_EN" value="0" />
+ <parameter name="AUTO_DEVICE_SPEEDGRADE" value="8_H6" />
+ <parameter name="AUTO_PD_CYCLES" value="0" />
+ <parameter name="AUTO_POWERDN_EN" value="false" />
+ <parameter name="AVL_DATA_WIDTH_PORT" value="32,32,32,32,32,32" />
+ <parameter name="AVL_MAX_SIZE" value="4" />
+ <parameter name="BONDING_OUT_ENABLED" value="false" />
+ <parameter name="BOOTFROMFPGA_Enable" value="false" />
+ <parameter name="BSEL" value="1" />
+ <parameter name="BSEL_EN" value="false" />
+ <parameter name="BYTE_ENABLE" value="true" />
+ <parameter name="C2P_WRITE_CLOCK_ADD_PHASE" value="0.0" />
+ <parameter name="CALIBRATION_MODE" value="Skip" />
+ <parameter name="CALIB_REG_WIDTH" value="8" />
+ <parameter name="CAN0_Mode" value="N/A" />
+ <parameter name="CAN0_PinMuxing" value="Unused" />
+ <parameter name="CAN1_Mode" value="N/A" />
+ <parameter name="CAN1_PinMuxing" value="Unused" />
+ <parameter name="CFG_DATA_REORDERING_TYPE" value="INTER_BANK" />
+ <parameter name="CFG_REORDER_DATA" value="true" />
+ <parameter name="CFG_TCCD_NS" value="2.5" />
+ <parameter name="COMMAND_PHASE" value="0.0" />
+ <parameter name="CONTROLLER_LATENCY" value="5" />
+ <parameter name="CORE_DEBUG_CONNECTION" value="EXPORT" />
+ <parameter name="CPORT_TYPE_PORT">Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional,Bidirectional</parameter>
+ <parameter name="CSEL" value="0" />
+ <parameter name="CSEL_EN" value="false" />
+ <parameter name="CTI_Enable" value="false" />
+ <parameter name="CTL_AUTOPCH_EN" value="false" />
+ <parameter name="CTL_CMD_QUEUE_DEPTH" value="8" />
+ <parameter name="CTL_CSR_CONNECTION" value="INTERNAL_JTAG" />
+ <parameter name="CTL_CSR_ENABLED" value="false" />
+ <parameter name="CTL_CSR_READ_ONLY" value="1" />
+ <parameter name="CTL_DEEP_POWERDN_EN" value="false" />
+ <parameter name="CTL_DYNAMIC_BANK_ALLOCATION" value="false" />
+ <parameter name="CTL_DYNAMIC_BANK_NUM" value="4" />
+ <parameter name="CTL_ECC_AUTO_CORRECTION_ENABLED" value="false" />
+ <parameter name="CTL_ECC_ENABLED" value="false" />
+ <parameter name="CTL_ENABLE_BURST_INTERRUPT" value="false" />
+ <parameter name="CTL_ENABLE_BURST_TERMINATE" value="false" />
+ <parameter name="CTL_HRB_ENABLED" value="false" />
+ <parameter name="CTL_LOOK_AHEAD_DEPTH" value="4" />
+ <parameter name="CTL_SELF_REFRESH_EN" value="false" />
+ <parameter name="CTL_USR_REFRESH_EN" value="false" />
+ <parameter name="CTL_ZQCAL_EN" value="false" />
+ <parameter name="CUT_NEW_FAMILY_TIMING" value="true" />
+ <parameter name="DAT_DATA_WIDTH" value="32" />
+ <parameter name="DEBUGAPB_Enable" value="false" />
+ <parameter name="DEBUG_MODE" value="false" />
+ <parameter name="DEVICE_DEPTH" value="1" />
+ <parameter name="DEVICE_FAMILY_PARAM" value="" />
+ <parameter name="DISABLE_CHILD_MESSAGING" value="false" />
+ <parameter name="DISCRETE_FLY_BY" value="true" />
+ <parameter name="DLL_SHARING_MODE" value="None" />
+ <parameter name="DMA_Enable">No,No,No,No,No,No,No,No</parameter>
+ <parameter name="DQS_DQSN_MODE" value="DIFFERENTIAL" />
+ <parameter name="DQ_INPUT_REG_USE_CLKN" value="false" />
+ <parameter name="DUPLICATE_AC" value="false" />
+ <parameter name="ED_EXPORT_SEQ_DEBUG" value="false" />
+ <parameter name="EMAC0_Mode" value="N/A" />
+ <parameter name="EMAC0_PinMuxing" value="Unused" />
+ <parameter name="EMAC1_Mode" value="RGMII" />
+ <parameter name="EMAC1_PinMuxing" value="HPS I/O Set 0" />
+ <parameter name="ENABLE_ABS_RAM_MEM_INIT" value="false" />
+ <parameter name="ENABLE_BONDING" value="false" />
+ <parameter name="ENABLE_BURST_MERGE" value="false" />
+ <parameter name="ENABLE_CTRL_AVALON_INTERFACE" value="true" />
+ <parameter name="ENABLE_DELAY_CHAIN_WRITE" value="false" />
+ <parameter name="ENABLE_EMIT_BFM_MASTER" value="false" />
+ <parameter name="ENABLE_EXPORT_SEQ_DEBUG_BRIDGE" value="false" />
+ <parameter name="ENABLE_EXTRA_REPORTING" value="false" />
+ <parameter name="ENABLE_ISS_PROBES" value="false" />
+ <parameter name="ENABLE_NON_DESTRUCTIVE_CALIB" value="false" />
+ <parameter name="ENABLE_NON_DES_CAL" value="false" />
+ <parameter name="ENABLE_NON_DES_CAL_TEST" value="false" />
+ <parameter name="ENABLE_SEQUENCER_MARGINING_ON_BY_DEFAULT" value="false" />
+ <parameter name="ENABLE_USER_ECC" value="false" />
+ <parameter name="EXPORT_AFI_HALF_CLK" value="false" />
+ <parameter name="EXTRA_SETTINGS" value="" />
+ <parameter name="F2H_AXI_CLOCK_FREQ" value="50000000" />
+ <parameter name="F2H_SDRAM0_CLOCK_FREQ" value="50000000" />
+ <parameter name="F2H_SDRAM1_CLOCK_FREQ" value="100" />
+ <parameter name="F2H_SDRAM2_CLOCK_FREQ" value="100" />
+ <parameter name="F2H_SDRAM3_CLOCK_FREQ" value="100" />
+ <parameter name="F2H_SDRAM4_CLOCK_FREQ" value="100" />
+ <parameter name="F2H_SDRAM5_CLOCK_FREQ" value="100" />
+ <parameter name="F2SCLK_COLDRST_Enable" value="false" />
+ <parameter name="F2SCLK_DBGRST_Enable" value="false" />
+ <parameter name="F2SCLK_PERIPHCLK_Enable" value="false" />
+ <parameter name="F2SCLK_PERIPHCLK_FREQ" value="0" />
+ <parameter name="F2SCLK_SDRAMCLK_Enable" value="false" />
+ <parameter name="F2SCLK_SDRAMCLK_FREQ" value="0" />
+ <parameter name="F2SCLK_WARMRST_Enable" value="false" />
+ <parameter name="F2SDRAM_Type" value="AXI-3" />
+ <parameter name="F2SDRAM_Width" value="64" />
+ <parameter name="F2SINTERRUPT_Enable" value="false" />
+ <parameter name="F2S_Width" value="2" />
+ <parameter name="FORCED_NON_LDC_ADDR_CMD_MEM_CK_INVERT" value="false" />
+ <parameter name="FORCED_NUM_WRITE_FR_CYCLE_SHIFTS" value="0" />
+ <parameter name="FORCE_DQS_TRACKING" value="AUTO" />
+ <parameter name="FORCE_MAX_LATENCY_COUNT_WIDTH" value="0" />
+ <parameter name="FORCE_SEQUENCER_TCL_DEBUG_MODE" value="false" />
+ <parameter name="FORCE_SHADOW_REGS" value="AUTO" />
+ <parameter name="FORCE_SYNTHESIS_LANGUAGE" value="" />
+ <parameter name="FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC0_RX_CLK_IN" value="100" />
+ <parameter name="FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC0_TX_CLK_IN" value="100" />
+ <parameter name="FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC1_RX_CLK_IN" value="100" />
+ <parameter name="FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC1_TX_CLK_IN" value="100" />
+ <parameter
+ name="FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_EMAC_PTP_REF_CLOCK"
+ value="100" />
+ <parameter name="FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_I2C0_SCL_IN" value="100" />
+ <parameter name="FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_I2C1_SCL_IN" value="100" />
+ <parameter name="FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_I2C2_SCL_IN" value="100" />
+ <parameter name="FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_I2C3_SCL_IN" value="100" />
+ <parameter name="FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_SDIO_CLK_IN" value="100" />
+ <parameter name="FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_SPIS0_SCLK_IN" value="100" />
+ <parameter name="FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_SPIS1_SCLK_IN" value="100" />
+ <parameter name="FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_USB0_CLK_IN" value="100" />
+ <parameter name="FPGA_PERIPHERAL_INPUT_CLOCK_FREQ_USB1_CLK_IN" value="100" />
+ <parameter name="FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_EMAC0_GTX_CLK" value="100" />
+ <parameter name="FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_EMAC0_MD_CLK" value="100.0" />
+ <parameter name="FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_EMAC1_GTX_CLK" value="100" />
+ <parameter name="FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_EMAC1_MD_CLK" value="100.0" />
+ <parameter name="FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_I2C0_CLK" value="100" />
+ <parameter name="FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_I2C1_CLK" value="100" />
+ <parameter name="FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_I2C2_CLK" value="100" />
+ <parameter name="FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_I2C3_CLK" value="100" />
+ <parameter name="FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_QSPI_SCLK_OUT" value="100" />
+ <parameter name="FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_SDIO_CCLK" value="100" />
+ <parameter name="FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_SPIM0_SCLK_OUT" value="100" />
+ <parameter name="FPGA_PERIPHERAL_OUTPUT_CLOCK_FREQ_SPIM1_SCLK_OUT" value="100" />
+ <parameter name="GPIO_Enable">No,No,No,No,No,No,No,No,No,Yes,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,Yes,No,No,No,No,No,Yes,Yes,Yes,Yes,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No</parameter>
+ <parameter name="GP_Enable" value="false" />
+ <parameter name="H2F_AXI_CLOCK_FREQ" value="50000000" />
+ <parameter name="H2F_CTI_CLOCK_FREQ" value="100" />
+ <parameter name="H2F_DEBUG_APB_CLOCK_FREQ" value="100" />
+ <parameter name="H2F_LW_AXI_CLOCK_FREQ" value="50000000" />
+ <parameter name="H2F_TPIU_CLOCK_IN_FREQ" value="100" />
+ <parameter name="HARD_EMIF" value="true" />
+ <parameter name="HCX_COMPAT_MODE" value="false" />
+ <parameter name="HHP_HPS" value="true" />
+ <parameter name="HHP_HPS_SIMULATION" value="false" />
+ <parameter name="HHP_HPS_VERIFICATION" value="false" />
+ <parameter name="HLGPI_Enable" value="false" />
+ <parameter name="HPS_PROTOCOL" value="DDR3" />
+ <parameter name="I2C0_Mode" value="I2C" />
+ <parameter name="I2C0_PinMuxing" value="HPS I/O Set 1" />
+ <parameter name="I2C1_Mode" value="N/A" />
+ <parameter name="I2C1_PinMuxing" value="Unused" />
+ <parameter name="I2C2_Mode" value="N/A" />
+ <parameter name="I2C2_PinMuxing" value="Unused" />
+ <parameter name="I2C3_Mode" value="N/A" />
+ <parameter name="I2C3_PinMuxing" value="Unused" />
+ <parameter name="INCLUDE_BOARD_DELAY_MODEL" value="false" />
+ <parameter name="INCLUDE_MULTIRANK_BOARD_DELAY_MODEL" value="false" />
+ <parameter name="IS_ES_DEVICE" value="false" />
+ <parameter name="LOANIO_Enable">No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No,No</parameter>
+ <parameter name="LOCAL_ID_WIDTH" value="8" />
+ <parameter name="LRDIMM_EXTENDED_CONFIG">0x000000000000000000</parameter>
+ <parameter name="LWH2F_Enable" value="true" />
+ <parameter name="MARGIN_VARIATION_TEST" value="false" />
+ <parameter name="MAX_PENDING_RD_CMD" value="32" />
+ <parameter name="MAX_PENDING_WR_CMD" value="16" />
+ <parameter name="MEM_ASR" value="Manual" />
+ <parameter name="MEM_ATCL" value="Disabled" />
+ <parameter name="MEM_AUTO_LEVELING_MODE" value="true" />
+ <parameter name="MEM_BANKADDR_WIDTH" value="3" />
+ <parameter name="MEM_BL" value="OTF" />
+ <parameter name="MEM_BT" value="Sequential" />
+ <parameter name="MEM_CK_PHASE" value="0.0" />
+ <parameter name="MEM_CK_WIDTH" value="1" />
+ <parameter name="MEM_CLK_EN_WIDTH" value="1" />
+ <parameter name="MEM_CLK_FREQ" value="400.0" />
+ <parameter name="MEM_CLK_FREQ_MAX" value="800.0" />
+ <parameter name="MEM_COL_ADDR_WIDTH" value="10" />
+ <parameter name="MEM_CS_WIDTH" value="1" />
+ <parameter name="MEM_DEVICE" value="MISSING_MODEL" />
+ <parameter name="MEM_DLL_EN" value="true" />
+ <parameter name="MEM_DQ_PER_DQS" value="8" />
+ <parameter name="MEM_DQ_WIDTH" value="40" />
+ <parameter name="MEM_DRV_STR" value="RZQ/6" />
+ <parameter name="MEM_FORMAT" value="DISCRETE" />
+ <parameter name="MEM_GUARANTEED_WRITE_INIT" value="false" />
+ <parameter name="MEM_IF_BOARD_BASE_DELAY" value="10" />
+ <parameter name="MEM_IF_DM_PINS_EN" value="true" />
+ <parameter name="MEM_IF_DQSN_EN" value="true" />
+ <parameter name="MEM_IF_SIM_VALID_WINDOW" value="0" />
+ <parameter name="MEM_INIT_EN" value="false" />
+ <parameter name="MEM_INIT_FILE" value="" />
+ <parameter name="MEM_MIRROR_ADDRESSING" value="0" />
+ <parameter name="MEM_NUMBER_OF_DIMMS" value="1" />
+ <parameter name="MEM_NUMBER_OF_RANKS_PER_DEVICE" value="1" />
+ <parameter name="MEM_NUMBER_OF_RANKS_PER_DIMM" value="1" />
+ <parameter name="MEM_PD" value="DLL off" />
+ <parameter name="MEM_RANK_MULTIPLICATION_FACTOR" value="1" />
+ <parameter name="MEM_ROW_ADDR_WIDTH" value="15" />
+ <parameter name="MEM_RTT_NOM" value="RZQ/6" />
+ <parameter name="MEM_RTT_WR" value="Dynamic ODT off" />
+ <parameter name="MEM_SRT" value="Normal" />
+ <parameter name="MEM_TCL" value="7" />
+ <parameter name="MEM_TFAW_NS" value="30.0" />
+ <parameter name="MEM_TINIT_US" value="500" />
+ <parameter name="MEM_TMRD_CK" value="4" />
+ <parameter name="MEM_TRAS_NS" value="35.0" />
+ <parameter name="MEM_TRCD_NS" value="13.75" />
+ <parameter name="MEM_TREFI_US" value="7.8" />
+ <parameter name="MEM_TRFC_NS" value="260.0" />
+ <parameter name="MEM_TRP_NS" value="13.75" />
+ <parameter name="MEM_TRRD_NS" value="10.0" />
+ <parameter name="MEM_TRTP_NS" value="10.0" />
+ <parameter name="MEM_TWR_NS" value="15.0" />
+ <parameter name="MEM_TWTR" value="4" />
+ <parameter name="MEM_USER_LEVELING_MODE" value="Leveling" />
+ <parameter name="MEM_VENDOR" value="JEDEC" />
+ <parameter name="MEM_VERBOSE" value="true" />
+ <parameter name="MEM_VOLTAGE" value="1.5V DDR3" />
+ <parameter name="MEM_WTCL" value="6" />
+ <parameter name="MPU_EVENTS_Enable" value="true" />
+ <parameter name="MRS_MIRROR_PING_PONG_ATSO" value="false" />
+ <parameter name="MULTICAST_EN" value="false" />
+ <parameter name="NAND_Mode" value="N/A" />
+ <parameter name="NAND_PinMuxing" value="Unused" />
+ <parameter name="NEXTGEN" value="true" />
+ <parameter name="NIOS_ROM_DATA_WIDTH" value="32" />
+ <parameter name="NUM_DLL_SHARING_INTERFACES" value="1" />
+ <parameter name="NUM_EXTRA_REPORT_PATH" value="10" />
+ <parameter name="NUM_OCT_SHARING_INTERFACES" value="1" />
+ <parameter name="NUM_OF_PORTS" value="1" />
+ <parameter name="NUM_PLL_SHARING_INTERFACES" value="1" />
+ <parameter name="OCT_SHARING_MODE" value="None" />
+ <parameter name="P2C_READ_CLOCK_ADD_PHASE" value="0.0" />
+ <parameter name="PACKAGE_DESKEW" value="false" />
+ <parameter name="PARSE_FRIENDLY_DEVICE_FAMILY_PARAM" value="" />
+ <parameter name="PARSE_FRIENDLY_DEVICE_FAMILY_PARAM_VALID" value="false" />
+ <parameter name="PHY_CSR_CONNECTION" value="INTERNAL_JTAG" />
+ <parameter name="PHY_CSR_ENABLED" value="false" />
+ <parameter name="PHY_ONLY" value="false" />
+ <parameter name="PINGPONGPHY_EN" value="false" />
+ <parameter name="PLL_ADDR_CMD_CLK_DIV_PARAM" value="0" />
+ <parameter name="PLL_ADDR_CMD_CLK_FREQ_PARAM" value="0.0" />
+ <parameter name="PLL_ADDR_CMD_CLK_FREQ_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_ADDR_CMD_CLK_MULT_PARAM" value="0" />
+ <parameter name="PLL_ADDR_CMD_CLK_PHASE_PS_PARAM" value="0" />
+ <parameter name="PLL_ADDR_CMD_CLK_PHASE_PS_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_AFI_CLK_DIV_PARAM" value="0" />
+ <parameter name="PLL_AFI_CLK_FREQ_PARAM" value="0.0" />
+ <parameter name="PLL_AFI_CLK_FREQ_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_AFI_CLK_MULT_PARAM" value="0" />
+ <parameter name="PLL_AFI_CLK_PHASE_PS_PARAM" value="0" />
+ <parameter name="PLL_AFI_CLK_PHASE_PS_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_AFI_HALF_CLK_DIV_PARAM" value="0" />
+ <parameter name="PLL_AFI_HALF_CLK_FREQ_PARAM" value="0.0" />
+ <parameter name="PLL_AFI_HALF_CLK_FREQ_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_AFI_HALF_CLK_MULT_PARAM" value="0" />
+ <parameter name="PLL_AFI_HALF_CLK_PHASE_PS_PARAM" value="0" />
+ <parameter name="PLL_AFI_HALF_CLK_PHASE_PS_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_AFI_PHY_CLK_DIV_PARAM" value="0" />
+ <parameter name="PLL_AFI_PHY_CLK_FREQ_PARAM" value="0.0" />
+ <parameter name="PLL_AFI_PHY_CLK_FREQ_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_AFI_PHY_CLK_MULT_PARAM" value="0" />
+ <parameter name="PLL_AFI_PHY_CLK_PHASE_PS_PARAM" value="0" />
+ <parameter name="PLL_AFI_PHY_CLK_PHASE_PS_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_C2P_WRITE_CLK_DIV_PARAM" value="0" />
+ <parameter name="PLL_C2P_WRITE_CLK_FREQ_PARAM" value="0.0" />
+ <parameter name="PLL_C2P_WRITE_CLK_FREQ_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_C2P_WRITE_CLK_MULT_PARAM" value="0" />
+ <parameter name="PLL_C2P_WRITE_CLK_PHASE_PS_PARAM" value="0" />
+ <parameter name="PLL_C2P_WRITE_CLK_PHASE_PS_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_CLK_PARAM_VALID" value="false" />
+ <parameter name="PLL_CONFIG_CLK_DIV_PARAM" value="0" />
+ <parameter name="PLL_CONFIG_CLK_FREQ_PARAM" value="0.0" />
+ <parameter name="PLL_CONFIG_CLK_FREQ_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_CONFIG_CLK_MULT_PARAM" value="0" />
+ <parameter name="PLL_CONFIG_CLK_PHASE_PS_PARAM" value="0" />
+ <parameter name="PLL_CONFIG_CLK_PHASE_PS_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_DR_CLK_DIV_PARAM" value="0" />
+ <parameter name="PLL_DR_CLK_FREQ_PARAM" value="0.0" />
+ <parameter name="PLL_DR_CLK_FREQ_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_DR_CLK_MULT_PARAM" value="0" />
+ <parameter name="PLL_DR_CLK_PHASE_PS_PARAM" value="0" />
+ <parameter name="PLL_DR_CLK_PHASE_PS_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_HR_CLK_DIV_PARAM" value="0" />
+ <parameter name="PLL_HR_CLK_FREQ_PARAM" value="0.0" />
+ <parameter name="PLL_HR_CLK_FREQ_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_HR_CLK_MULT_PARAM" value="0" />
+ <parameter name="PLL_HR_CLK_PHASE_PS_PARAM" value="0" />
+ <parameter name="PLL_HR_CLK_PHASE_PS_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_LOCATION" value="Top_Bottom" />
+ <parameter name="PLL_MEM_CLK_DIV_PARAM" value="0" />
+ <parameter name="PLL_MEM_CLK_FREQ_PARAM" value="0.0" />
+ <parameter name="PLL_MEM_CLK_FREQ_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_MEM_CLK_MULT_PARAM" value="0" />
+ <parameter name="PLL_MEM_CLK_PHASE_PS_PARAM" value="0" />
+ <parameter name="PLL_MEM_CLK_PHASE_PS_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_NIOS_CLK_DIV_PARAM" value="0" />
+ <parameter name="PLL_NIOS_CLK_FREQ_PARAM" value="0.0" />
+ <parameter name="PLL_NIOS_CLK_FREQ_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_NIOS_CLK_MULT_PARAM" value="0" />
+ <parameter name="PLL_NIOS_CLK_PHASE_PS_PARAM" value="0" />
+ <parameter name="PLL_NIOS_CLK_PHASE_PS_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_P2C_READ_CLK_DIV_PARAM" value="0" />
+ <parameter name="PLL_P2C_READ_CLK_FREQ_PARAM" value="0.0" />
+ <parameter name="PLL_P2C_READ_CLK_FREQ_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_P2C_READ_CLK_MULT_PARAM" value="0" />
+ <parameter name="PLL_P2C_READ_CLK_PHASE_PS_PARAM" value="0" />
+ <parameter name="PLL_P2C_READ_CLK_PHASE_PS_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_SHARING_MODE" value="None" />
+ <parameter name="PLL_WRITE_CLK_DIV_PARAM" value="0" />
+ <parameter name="PLL_WRITE_CLK_FREQ_PARAM" value="0.0" />
+ <parameter name="PLL_WRITE_CLK_FREQ_SIM_STR_PARAM" value="" />
+ <parameter name="PLL_WRITE_CLK_MULT_PARAM" value="0" />
+ <parameter name="PLL_WRITE_CLK_PHASE_PS_PARAM" value="0" />
+ <parameter name="PLL_WRITE_CLK_PHASE_PS_SIM_STR_PARAM" value="" />
+ <parameter name="POWER_OF_TWO_BUS" value="false" />
+ <parameter name="PRIORITY_PORT" value="1,1,1,1,1,1" />
+ <parameter name="QSPI_Mode" value="N/A" />
+ <parameter name="QSPI_PinMuxing" value="Unused" />
+ <parameter name="RATE" value="Full" />
+ <parameter name="RDIMM_CONFIG" value="0000000000000000" />
+ <parameter name="READ_DQ_DQS_CLOCK_SOURCE" value="INVERTED_DQS_BUS" />
+ <parameter name="READ_FIFO_SIZE" value="8" />
+ <parameter name="REFRESH_BURST_VALIDATION" value="false" />
+ <parameter name="REFRESH_INTERVAL" value="15000" />
+ <parameter name="REF_CLK_FREQ" value="25.0" />
+ <parameter name="REF_CLK_FREQ_MAX_PARAM" value="0.0" />
+ <parameter name="REF_CLK_FREQ_MIN_PARAM" value="0.0" />
+ <parameter name="REF_CLK_FREQ_PARAM_VALID" value="false" />
+ <parameter name="S2FCLK_COLDRST_Enable" value="false" />
+ <parameter name="S2FCLK_PENDINGRST_Enable" value="false" />
+ <parameter name="S2FCLK_USER0CLK_Enable" value="false" />
+ <parameter name="S2FCLK_USER1CLK_Enable" value="false" />
+ <parameter name="S2FCLK_USER1CLK_FREQ" value="100.0" />
+ <parameter name="S2FCLK_USER2CLK_Enable" value="false" />
+ <parameter name="S2FCLK_USER2CLK_FREQ" value="100.0" />
+ <parameter name="S2FINTERRUPT_CAN_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_CLOCKPERIPHERAL_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_CTI_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_DMA_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_EMAC_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_FPGAMANAGER_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_GPIO_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_I2CEMAC_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_I2CPERIPHERAL_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_L4TIMER_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_NAND_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_OSCTIMER_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_QSPI_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_SDMMC_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_SPIMASTER_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_SPISLAVE_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_UART_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_USB_Enable" value="false" />
+ <parameter name="S2FINTERRUPT_WATCHDOG_Enable" value="false" />
+ <parameter name="S2F_Width" value="2" />
+ <parameter name="SDIO_Mode" value="4-bit Data" />
+ <parameter name="SDIO_PinMuxing" value="HPS I/O Set 0" />
+ <parameter name="SEQUENCER_TYPE" value="NIOS" />
+ <parameter name="SEQ_MODE" value="0" />
+ <parameter name="SKIP_MEM_INIT" value="true" />
+ <parameter name="SOPC_COMPAT_RESET" value="false" />
+ <parameter name="SPEED_GRADE" value="7" />
+ <parameter name="SPIM0_Mode" value="N/A" />
+ <parameter name="SPIM0_PinMuxing" value="Unused" />
+ <parameter name="SPIM1_Mode" value="N/A" />
+ <parameter name="SPIM1_PinMuxing" value="Unused" />
+ <parameter name="SPIS0_Mode" value="N/A" />
+ <parameter name="SPIS0_PinMuxing" value="Unused" />
+ <parameter name="SPIS1_Mode" value="N/A" />
+ <parameter name="SPIS1_PinMuxing" value="Unused" />
+ <parameter name="STARVE_LIMIT" value="10" />
+ <parameter name="STM_Enable" value="false" />
+ <parameter name="SYS_INFO_DEVICE_FAMILY" value="Cyclone V" />
+ <parameter name="TEST_Enable" value="false" />
+ <parameter name="TIMING_BOARD_AC_EYE_REDUCTION_H" value="0.0" />
+ <parameter name="TIMING_BOARD_AC_EYE_REDUCTION_SU" value="0.0" />
+ <parameter name="TIMING_BOARD_AC_SKEW" value="0.02" />
+ <parameter name="TIMING_BOARD_AC_SLEW_RATE" value="1.0" />
+ <parameter name="TIMING_BOARD_AC_TO_CK_SKEW" value="0.0" />
+ <parameter name="TIMING_BOARD_CK_CKN_SLEW_RATE" value="2.0" />
+ <parameter name="TIMING_BOARD_DELTA_DQS_ARRIVAL_TIME" value="0.0" />
+ <parameter name="TIMING_BOARD_DELTA_READ_DQS_ARRIVAL_TIME" value="0.0" />
+ <parameter name="TIMING_BOARD_DERATE_METHOD" value="AUTO" />
+ <parameter name="TIMING_BOARD_DQS_DQSN_SLEW_RATE" value="2.0" />
+ <parameter name="TIMING_BOARD_DQ_EYE_REDUCTION" value="0.0" />
+ <parameter name="TIMING_BOARD_DQ_SLEW_RATE" value="1.0" />
+ <parameter name="TIMING_BOARD_DQ_TO_DQS_SKEW" value="0.0" />
+ <parameter name="TIMING_BOARD_ISI_METHOD" value="AUTO" />
+ <parameter name="TIMING_BOARD_MAX_CK_DELAY" value="0.6" />
+ <parameter name="TIMING_BOARD_MAX_DQS_DELAY" value="0.6" />
+ <parameter name="TIMING_BOARD_READ_DQ_EYE_REDUCTION" value="0.0" />
+ <parameter name="TIMING_BOARD_SKEW_BETWEEN_DIMMS" value="0.05" />
+ <parameter name="TIMING_BOARD_SKEW_BETWEEN_DQS" value="0.02" />
+ <parameter name="TIMING_BOARD_SKEW_CKDQS_DIMM_MAX" value="0.01" />
+ <parameter name="TIMING_BOARD_SKEW_CKDQS_DIMM_MIN" value="-0.01" />
+ <parameter name="TIMING_BOARD_SKEW_WITHIN_DQS" value="0.02" />
+ <parameter name="TIMING_BOARD_TDH" value="0.0" />
+ <parameter name="TIMING_BOARD_TDS" value="0.0" />
+ <parameter name="TIMING_BOARD_TIH" value="0.0" />
+ <parameter name="TIMING_BOARD_TIS" value="0.0" />
+ <parameter name="TIMING_TDH" value="45" />
+ <parameter name="TIMING_TDQSCK" value="225" />
+ <parameter name="TIMING_TDQSCKDL" value="1200" />
+ <parameter name="TIMING_TDQSCKDM" value="900" />
+ <parameter name="TIMING_TDQSCKDS" value="450" />
+ <parameter name="TIMING_TDQSH" value="0.35" />
+ <parameter name="TIMING_TDQSQ" value="100" />
+ <parameter name="TIMING_TDQSS" value="0.27" />
+ <parameter name="TIMING_TDS" value="10" />
+ <parameter name="TIMING_TDSH" value="0.18" />
+ <parameter name="TIMING_TDSS" value="0.18" />
+ <parameter name="TIMING_TIH" value="120" />
+ <parameter name="TIMING_TIS" value="170" />
+ <parameter name="TIMING_TQH" value="0.38" />
+ <parameter name="TIMING_TQHS" value="300" />
+ <parameter name="TIMING_TQSH" value="0.4" />
+ <parameter name="TPIUFPGA_Enable" value="false" />
+ <parameter name="TPIUFPGA_alt" value="false" />
+ <parameter name="TRACE_Mode" value="N/A" />
+ <parameter name="TRACE_PinMuxing" value="Unused" />
+ <parameter name="TRACKING_ERROR_TEST" value="false" />
+ <parameter name="TRACKING_WATCH_TEST" value="false" />
+ <parameter name="TREFI" value="35100" />
+ <parameter name="TRFC" value="350" />
+ <parameter name="UART0_Mode" value="No Flow Control" />
+ <parameter name="UART0_PinMuxing" value="HPS I/O Set 2" />
+ <parameter name="UART1_Mode" value="N/A" />
+ <parameter name="UART1_PinMuxing" value="Unused" />
+ <parameter name="USB0_Mode" value="N/A" />
+ <parameter name="USB0_PinMuxing" value="Unused" />
+ <parameter name="USB1_Mode" value="N/A" />
+ <parameter name="USB1_PinMuxing" value="Unused" />
+ <parameter name="USER_DEBUG_LEVEL" value="1" />
+ <parameter name="USE_AXI_ADAPTOR" value="false" />
+ <parameter name="USE_FAKE_PHY" value="false" />
+ <parameter name="USE_MEM_CLK_FREQ" value="false" />
+ <parameter name="USE_MM_ADAPTOR" value="true" />
+ <parameter name="USE_SEQUENCER_BFM" value="false" />
+ <parameter name="WEIGHT_PORT" value="0,0,0,0,0,0" />
+ <parameter name="WRBUFFER_ADDR_WIDTH" value="6" />
+ <parameter name="can0_clk_div" value="1" />
+ <parameter name="can1_clk_div" value="1" />
+ <parameter name="configure_advanced_parameters" value="false" />
+ <parameter name="customize_device_pll_info" value="false" />
+ <parameter name="dbctrl_stayosc1" value="true" />
+ <parameter name="dbg_at_clk_div" value="0" />
+ <parameter name="dbg_clk_div" value="1" />
+ <parameter name="dbg_trace_clk_div" value="0" />
+ <parameter name="desired_can0_clk_mhz" value="100.0" />
+ <parameter name="desired_can1_clk_mhz" value="100.0" />
+ <parameter name="desired_cfg_clk_mhz" value="100.0" />
+ <parameter name="desired_emac0_clk_mhz" value="250.0" />
+ <parameter name="desired_emac1_clk_mhz" value="250.0" />
+ <parameter name="desired_gpio_db_clk_hz" value="32000" />
+ <parameter name="desired_l4_mp_clk_mhz" value="100.0" />
+ <parameter name="desired_l4_sp_clk_mhz" value="100.0" />
+ <parameter name="desired_mpu_clk_mhz" value="800.0" />
+ <parameter name="desired_nand_clk_mhz" value="12.5" />
+ <parameter name="desired_qspi_clk_mhz" value="400.0" />
+ <parameter name="desired_sdmmc_clk_mhz" value="200.0" />
+ <parameter name="desired_spi_m_clk_mhz" value="200.0" />
+ <parameter name="desired_usb_mp_clk_mhz" value="200.0" />
+ <parameter name="device_name" value="5CSXFC6D6F31C8ES" />
+ <parameter name="device_pll_info_manual">{320000000 1600000000} {320000000 1000000000} {800000000 400000000 400000000}</parameter>
+ <parameter name="eosc1_clk_mhz" value="25.0" />
+ <parameter name="eosc2_clk_mhz" value="25.0" />
+ <parameter name="gpio_db_clk_div" value="6249" />
+ <parameter name="l3_mp_clk_div" value="1" />
+ <parameter name="l3_sp_clk_div" value="1" />
+ <parameter name="l4_mp_clk_div" value="1" />
+ <parameter name="l4_mp_clk_source" value="1" />
+ <parameter name="l4_sp_clk_div" value="1" />
+ <parameter name="l4_sp_clk_source" value="1" />
+ <parameter name="main_pll_c3" value="3" />
+ <parameter name="main_pll_c4" value="3" />
+ <parameter name="main_pll_c5" value="15" />
+ <parameter name="main_pll_m" value="63" />
+ <parameter name="main_pll_n" value="0" />
+ <parameter name="nand_clk_source" value="2" />
+ <parameter name="periph_pll_c0" value="3" />
+ <parameter name="periph_pll_c1" value="3" />
+ <parameter name="periph_pll_c2" value="1" />
+ <parameter name="periph_pll_c3" value="19" />
+ <parameter name="periph_pll_c4" value="4" />
+ <parameter name="periph_pll_c5" value="9" />
+ <parameter name="periph_pll_m" value="79" />
+ <parameter name="periph_pll_n" value="1" />
+ <parameter name="periph_pll_source" value="0" />
+ <parameter name="qspi_clk_source" value="1" />
+ <parameter
+ name="quartus_ini_hps_ip_enable_all_peripheral_fpga_interfaces"
+ value="false" />
+ <parameter name="quartus_ini_hps_ip_enable_bsel_csel" value="false" />
+ <parameter
+ name="quartus_ini_hps_ip_enable_emac0_peripheral_fpga_interface"
+ value="false" />
+ <parameter
+ name="quartus_ini_hps_ip_enable_low_speed_serial_fpga_interfaces"
+ value="false" />
+ <parameter name="quartus_ini_hps_ip_enable_test_interface" value="false" />
+ <parameter name="quartus_ini_hps_ip_f2sdram_bonding_out" value="false" />
+ <parameter name="quartus_ini_hps_ip_fast_f2sdram_sim_model" value="false" />
+ <parameter name="quartus_ini_hps_ip_suppress_sdram_synth" value="false" />
+ <parameter name="sdmmc_clk_source" value="2" />
+ <parameter name="show_advanced_parameters" value="false" />
+ <parameter name="show_debug_info_as_warning_msg" value="false" />
+ <parameter name="show_warning_as_error_msg" value="false" />
+ <parameter name="spi_m_clk_div" value="0" />
+ <parameter name="usb_mp_clk_div" value="0" />
+ <parameter name="use_default_mpu_clk" value="true" />
+ </module>
+ <connection
+ kind="clock"
+ version="15.0"
+ start="clk_0.clk"
+ end="hps_0.f2h_axi_clock" />
+ <connection
+ kind="clock"
+ version="15.0"
+ start="clk_0.clk"
+ end="hps_0.f2h_sdram0_clock" />
+ <connection
+ kind="clock"
+ version="15.0"
+ start="clk_0.clk"
+ end="hps_0.h2f_axi_clock" />
+ <connection
+ kind="clock"
+ version="15.0"
+ start="clk_0.clk"
+ end="hps_0.h2f_lw_axi_clock" />
+ <interconnectRequirement for="$system" name="qsys_mm.clockCrossingAdapter" value="HANDSHAKE" />
+ <interconnectRequirement for="$system" name="qsys_mm.insertDefaultSlave" value="FALSE" />
+ <interconnectRequirement for="$system" name="qsys_mm.maxAdditionalLatency" value="1" />
+</system>