summaryrefslogtreecommitdiff
path: root/runtime/indent/verilog.vim
diff options
context:
space:
mode:
Diffstat (limited to 'runtime/indent/verilog.vim')
-rw-r--r--runtime/indent/verilog.vim8
1 files changed, 6 insertions, 2 deletions
diff --git a/runtime/indent/verilog.vim b/runtime/indent/verilog.vim
index 74c8c5f6..ecca4626 100644
--- a/runtime/indent/verilog.vim
+++ b/runtime/indent/verilog.vim
@@ -1,6 +1,6 @@
" Language: Verilog HDL
" Maintainer: Chih-Tsun Huang <cthuang@larc.ee.nthu.edu.tw>
-" Last Change: Wed Oct 31 16:13:11 CST 2001
+" Last Change: 2011 Dec 10 by Thilo Six
" URL: http://larc.ee.nthu.edu.tw/~cthuang/vim/indent/verilog.vim
"
" Credits:
@@ -30,7 +30,8 @@ if exists("*GetVerilogIndent")
finish
endif
-set cpo-=C
+let s:cpo_save = &cpo
+set cpo&vim
function GetVerilogIndent()
@@ -216,4 +217,7 @@ function GetVerilogIndent()
return ind
endfunction
+let &cpo = s:cpo_save
+unlet s:cpo_save
+
" vim:sw=2