summaryrefslogtreecommitdiff
path: root/src/drawline.c
diff options
context:
space:
mode:
authorkylo252 <59826753+kylo252@users.noreply.github.com>2022-02-16 19:24:07 +0000
committerBram Moolenaar <Bram@vim.org>2022-02-16 19:24:07 +0000
commitae6f1d8b14c2f63811ee83ef14e32086fb3e9b83 (patch)
tree4982335c2afa3ef8515860dabea038acbc2af406 /src/drawline.c
parentd288eaad846f0e07e0141226f97d858dcf96cb78 (diff)
downloadvim-git-ae6f1d8b14c2f63811ee83ef14e32086fb3e9b83.tar.gz
patch 8.2.4402: missing parenthesis may cause unexpected problemsv8.2.4402
Problem: Missing parenthesis may cause unexpected problems. Solution: Add more parenthesis is macros. (closes #9788)
Diffstat (limited to 'src/drawline.c')
-rw-r--r--src/drawline.c14
1 files changed, 7 insertions, 7 deletions
diff --git a/src/drawline.c b/src/drawline.c
index 441a81732..51dcfb1bb 100644
--- a/src/drawline.c
+++ b/src/drawline.c
@@ -404,32 +404,32 @@ win_line(
// draw_state: items that are drawn in sequence:
#define WL_START 0 // nothing done yet
#ifdef FEAT_CMDWIN
-# define WL_CMDLINE WL_START + 1 // cmdline window column
+# define WL_CMDLINE (WL_START + 1) // cmdline window column
#else
# define WL_CMDLINE WL_START
#endif
#ifdef FEAT_FOLDING
-# define WL_FOLD WL_CMDLINE + 1 // 'foldcolumn'
+# define WL_FOLD (WL_CMDLINE + 1) // 'foldcolumn'
#else
# define WL_FOLD WL_CMDLINE
#endif
#ifdef FEAT_SIGNS
-# define WL_SIGN WL_FOLD + 1 // column for signs
+# define WL_SIGN (WL_FOLD + 1) // column for signs
#else
# define WL_SIGN WL_FOLD // column for signs
#endif
-#define WL_NR WL_SIGN + 1 // line number
+#define WL_NR (WL_SIGN + 1) // line number
#ifdef FEAT_LINEBREAK
-# define WL_BRI WL_NR + 1 // 'breakindent'
+# define WL_BRI (WL_NR + 1) // 'breakindent'
#else
# define WL_BRI WL_NR
#endif
#if defined(FEAT_LINEBREAK) || defined(FEAT_DIFF)
-# define WL_SBR WL_BRI + 1 // 'showbreak' or 'diff'
+# define WL_SBR (WL_BRI + 1) // 'showbreak' or 'diff'
#else
# define WL_SBR WL_BRI
#endif
-#define WL_LINE WL_SBR + 1 // text in the line
+#define WL_LINE (WL_SBR + 1) // text in the line
int draw_state = WL_START; // what to draw next
#if defined(FEAT_XIM) && defined(FEAT_GUI_GTK)
int feedback_col = 0;