summaryrefslogtreecommitdiff
path: root/runtime/indent/sdl.vim
diff options
context:
space:
mode:
authorBram Moolenaar <Bram@vim.org>2021-10-04 21:32:54 +0100
committerBram Moolenaar <Bram@vim.org>2021-10-04 21:32:54 +0100
commit6e649224926bbc1df6a4fdfa7a96b4acb1f8bee0 (patch)
treedb66acf9f47e727e0a1dd5eee189bdcc6229e06a /runtime/indent/sdl.vim
parent78aa5ffe314f40d33666f03b833f66b11c3d0f67 (diff)
downloadvim-git-6e649224926bbc1df6a4fdfa7a96b4acb1f8bee0.tar.gz
Update runtime files
Diffstat (limited to 'runtime/indent/sdl.vim')
-rw-r--r--runtime/indent/sdl.vim4
1 files changed, 3 insertions, 1 deletions
diff --git a/runtime/indent/sdl.vim b/runtime/indent/sdl.vim
index 6ce30b679..40fe63fa7 100644
--- a/runtime/indent/sdl.vim
+++ b/runtime/indent/sdl.vim
@@ -1,7 +1,7 @@
" Vim indent file
" Language: SDL
" Maintainer: Michael Piefel <entwurf@piefel.de>
-" Last Change: 10 December 2011
+" Last Change: 2021 Oct 03
" Shamelessly stolen from the Vim-Script indent file
@@ -14,6 +14,8 @@ let b:did_indent = 1
setlocal indentexpr=GetSDLIndent()
setlocal indentkeys+==~end,=~state,*<Return>
+let b:undo_indent = "setl inde< indk<"
+
" Only define the function once.
if exists("*GetSDLIndent")
" finish