summaryrefslogtreecommitdiff
path: root/chromium/media/audio
ModeNameSize
-rw-r--r--BUILD.gn13058logplain
-rw-r--r--DEPS47logplain
-rw-r--r--DIR_METADATA410logplain
-rw-r--r--OWNERS149logplain
-rw-r--r--agc_audio_stream.h8273logplain
-rw-r--r--alive_checker.cc5656logplain
-rw-r--r--alive_checker.h5946logplain
-rw-r--r--alive_checker_unittest.cc21180logplain
d---------alsa620logplain
d---------android820logplain
-rw-r--r--audio_debug_file_writer.cc10122logplain
-rw-r--r--audio_debug_file_writer.h2632logplain
-rw-r--r--audio_debug_file_writer_unittest.cc12694logplain
-rw-r--r--audio_debug_recording_helper.cc4127logplain
-rw-r--r--audio_debug_recording_helper.h4019logplain
-rw-r--r--audio_debug_recording_helper_unittest.cc10499logplain
-rw-r--r--audio_debug_recording_manager.cc3527logplain
-rw-r--r--audio_debug_recording_manager.h4889logplain
-rw-r--r--audio_debug_recording_manager_unittest.cc8522logplain
-rw-r--r--audio_debug_recording_session.h809logplain
-rw-r--r--audio_debug_recording_session_impl.cc4137logplain
-rw-r--r--audio_debug_recording_session_impl.h836logplain
-rw-r--r--audio_debug_recording_session_impl_unittest.cc4833logplain
-rw-r--r--audio_debug_recording_test.cc1367logplain
-rw-r--r--audio_debug_recording_test.h1150logplain
-rw-r--r--audio_device_description.cc3629logplain
-rw-r--r--audio_device_description.h4205logplain
-rw-r--r--audio_device_info_accessor_for_tests.cc3216logplain
-rw-r--r--audio_device_info_accessor_for_tests.h1585logplain
-rw-r--r--audio_device_name.cc891logplain
-rw-r--r--audio_device_name.h1151logplain
-rw-r--r--audio_device_thread.cc4072logplain
-rw-r--r--audio_device_thread.h3332logplain
-rw-r--r--audio_encoders_unittest.cc11209logplain
-rw-r--r--audio_features.cc1929logplain
-rw-r--r--audio_features.h1116logplain
-rw-r--r--audio_input_delegate.cc342logplain
-rw-r--r--audio_input_delegate.h1596logplain
-rw-r--r--audio_input_device.cc17933logplain
-rw-r--r--audio_input_device.h6144logplain
-rw-r--r--audio_input_device_unittest.cc5264logplain
-rw-r--r--audio_input_ipc.cc442logplain
-rw-r--r--audio_input_ipc.h3432logplain
-rw-r--r--audio_input_stream_data_interceptor.cc3043logplain
-rw-r--r--audio_input_stream_data_interceptor.h2332logplain
-rw-r--r--audio_input_stream_data_interceptor_unittest.cc10219logplain
-rw-r--r--audio_input_unittest.cc7804logplain
-rw-r--r--audio_io.h8799logplain
-rw-r--r--audio_logging.h2601logplain
-rw-r--r--audio_low_latency_input_output_unittest.cc14970logplain
-rw-r--r--audio_manager.cc5564logplain
-rw-r--r--audio_manager.h12052logplain
-rw-r--r--audio_manager_base.cc23323logplain
-rw-r--r--audio_manager_base.h8312logplain
-rw-r--r--audio_manager_unittest.cc42267logplain
-rw-r--r--audio_opus_encoder.cc14063logplain
-rw-r--r--audio_opus_encoder.h3796logplain
-rw-r--r--audio_output_delegate.cc346logplain
-rw-r--r--audio_output_delegate.h1412logplain
-rw-r--r--audio_output_device.cc17307logplain
-rw-r--r--audio_output_device.h9734logplain
-rw-r--r--audio_output_device_thread_callback.cc3987logplain
-rw-r--r--audio_output_device_thread_callback.h2055logplain
-rw-r--r--audio_output_device_unittest.cc13637logplain
-rw-r--r--audio_output_dispatcher.cc650logplain
-rw-r--r--audio_output_dispatcher.h3131logplain
-rw-r--r--audio_output_dispatcher_impl.cc7213logplain
-rw-r--r--audio_output_dispatcher_impl.h3498logplain
-rw-r--r--audio_output_ipc.cc362logplain
-rw-r--r--audio_output_ipc.h4843logplain
-rw-r--r--audio_output_proxy.cc2918logplain
-rw-r--r--audio_output_proxy.h1983logplain
-rw-r--r--audio_output_proxy_unittest.cc29529logplain
-rw-r--r--audio_output_resampler.cc18088logplain
-rw-r--r--audio_output_resampler.h4530logplain
-rw-r--r--audio_output_stream_sink.cc5150logplain
-rw-r--r--audio_output_stream_sink.h3233logplain
-rw-r--r--audio_output_unittest.cc6655logplain
-rw-r--r--audio_sink_parameters.cc632logplain
-rw-r--r--audio_sink_parameters.h1457logplain
-rw-r--r--audio_source_diverter.h2117logplain
-rw-r--r--audio_source_parameters.cc789logplain
-rw-r--r--audio_source_parameters.h1342logplain
-rw-r--r--audio_system.cc827logplain
-rw-r--r--audio_system.h3645logplain
-rw-r--r--audio_system_helper.cc5616logplain
-rw-r--r--audio_system_helper.h1858logplain
-rw-r--r--audio_system_impl.cc6694logplain
-rw-r--r--audio_system_impl.h2081logplain
-rw-r--r--audio_system_impl_unittest.cc1892logplain
-rw-r--r--audio_system_test_util.cc5930logplain
-rw-r--r--audio_system_test_util.h14737logplain
-rw-r--r--audio_thread.h1369logplain
-rw-r--r--audio_thread_hang_monitor.cc7519logplain
-rw-r--r--audio_thread_hang_monitor.h5935logplain
-rw-r--r--audio_thread_hang_monitor_unittest.cc11034logplain
-rw-r--r--audio_thread_impl.cc2447logplain
-rw-r--r--audio_thread_impl.h1249logplain
-rw-r--r--audio_unittest_util.cc925logplain
-rw-r--r--audio_unittest_util.h1628logplain
-rw-r--r--clockless_audio_sink.cc4794logplain
-rw-r--r--clockless_audio_sink.h2134logplain
d---------cras656logplain
-rw-r--r--fake_audio_input_stream.cc7210logplain
-rw-r--r--fake_audio_input_stream.h3622logplain
-rw-r--r--fake_audio_log_factory.cc1095logplain
-rw-r--r--fake_audio_log_factory.h913logplain
-rw-r--r--fake_audio_manager.cc2869logplain
-rw-r--r--fake_audio_manager.h1929logplain
-rw-r--r--fake_audio_output_stream.cc2622logplain
-rw-r--r--fake_audio_output_stream.h1928logplain
d---------fuchsia292logplain
d---------linux50logplain
d---------mac999logplain
-rw-r--r--mock_audio_debug_recording_manager.cc552logplain
-rw-r--r--mock_audio_debug_recording_manager.h1079logplain
-rw-r--r--mock_audio_manager.cc5914logplain
-rw-r--r--mock_audio_manager.h4821logplain
-rw-r--r--mock_audio_source_callback.cc386logplain
-rw-r--r--mock_audio_source_callback.h884logplain
-rw-r--r--null_audio_sink.cc3690logplain
-rw-r--r--null_audio_sink.h2219logplain
-rw-r--r--power_observer_helper.cc2280logplain
-rw-r--r--power_observer_helper.h2418logplain
-rw-r--r--power_observer_helper_unittest.cc5120logplain
d---------pulse440logplain
-rw-r--r--scoped_task_runner_observer.cc1527logplain
-rw-r--r--scoped_task_runner_observer.h1838logplain
-rw-r--r--simple_sources.cc10632logplain
-rw-r--r--simple_sources.h4676logplain
-rw-r--r--simple_sources_unittest.cc9248logplain
-rw-r--r--test_audio_thread.cc1313logplain
-rw-r--r--test_audio_thread.h1064logplain
-rw-r--r--test_data.h1419logplain
-rw-r--r--wav_audio_handler.cc10735logplain
-rw-r--r--wav_audio_handler.h2751logplain
-rw-r--r--wav_audio_handler_fuzzer.cc1064logplain
-rw-r--r--wav_audio_handler_unittest.cc9180logplain
d---------win1406logplain