summaryrefslogtreecommitdiff
path: root/deps/gyp/test/module/src/lib2.c
diff options
context:
space:
mode:
Diffstat (limited to 'deps/gyp/test/module/src/lib2.c')
-rw-r--r--deps/gyp/test/module/src/lib2.c10
1 files changed, 10 insertions, 0 deletions
diff --git a/deps/gyp/test/module/src/lib2.c b/deps/gyp/test/module/src/lib2.c
new file mode 100644
index 0000000000..266396dc91
--- /dev/null
+++ b/deps/gyp/test/module/src/lib2.c
@@ -0,0 +1,10 @@
+#include <stdio.h>
+
+#ifdef _WIN32
+__declspec(dllexport)
+#endif
+void module_main(void)
+{
+ fprintf(stdout, "Hello from lib2.c\n");
+ fflush(stdout);
+}