summaryrefslogtreecommitdiff
path: root/lib/eunit/include
Commit message (Collapse)AuthorAgeFilesLines
* Update EUnit license headers to dual Apache2/LGPLRichard Carlsson2016-11-231-12/+21
| | | | | This makes it clear that Apache 2.0 applies, without dropping the old LGPL licensing, and makes all the EUnit file headers look the same as upstream.
* Ensure asserts are enabled in eunit.hrlRichard Carlsson2016-09-141-1/+3
| | | | | When asserts were moved out to a separate header file, the automatic enabling of asserts when testing is enabled stopped working.
* Replace suggested debugValAll/1 macro with debugVal/2Richard Carlsson2016-05-201-9/+7
| | | | | Also make the default depth for debugVal/1 possible to override by defining the macro EUNIT_DEBUG_VAL_DEPTH.
* eunit: Add ?debugValAll macroYuki Ito2016-04-231-0/+8
| | | | | Add a macro which works much like ?debugVal, but doesn't truncate terms to print.
* rm assertReceive, due to a leftover of a conflict resolutionZandra2015-12-031-1/+0
| | | | c574bd33c39d91c487c3fcd819226ecfc46c13c8
* Break out assert macros from eunit to stdlib assert.hrlRichard Carlsson2015-06-031-231/+33
| | | | | | | | Several people have requested that the assert macros in EUnit should be moved out to a separate header file. This patch puts them in stdlib/include/assert.hrl, which gets included by the eunit.hrl file. Thus, nothing changes for eunit users, but the asserts can now also be included separately.
* Revert "Add ?assertReceive(Guard, Timeout) macro to eunit"Zandra Hird2015-05-281-20/+0
| | | | This reverts commit e56cf8d9846e51ae88fa72c8b0992d81da6fd7d5.
* Add ?assertReceive(Guard, Timeout) macro to eunitSerge Aleynikov2015-05-181-0/+20
| | | | | | A convenience macro used for testing message passing logic by allowing to fail if a message matching a `Guard` is not received in the mailbox of the current process.
* OTP-11660: make eunit unicode safeVlad Dumitrescu2015-03-121-3/+3
| | | | All output from eunit is unicode, including the surefire XML files.
* Merge branch 'maint'Fredrik Gustafsson2013-07-301-20/+44
|\
| * Wrap eunit macros into begin ... end blocksAnthony Ramine2013-06-101-20/+44
| | | | | | | | | | | | | | | | | | This makes typos such as missing commas between two assertions (e.g. ?assert(true) ?assert(true)) syntax errors instead of silently compiling and failing with a badfun error at runtime. This won't break any existing code as parenthesed expressions and blocks have the same precedence and none of these macros can be used as patterns.
* | Remove the "coding: utf-8" comment from all Erlang source filesHans Bolinder2013-04-191-1/+0
|/
* eunit: Eliminate use of the package syntaxBjörn Gustavsson2013-01-091-77/+77
|
* Prepare OTP files for Unicode as default encodingHans Bolinder2013-01-091-1/+2
|
* minor cleanupRichard Carlsson2012-08-101-6/+7
|
* removed cvs keywords from filesRichard Carlsson2011-09-251-2/+0
|
* Updated to EUnit version 2.2.0Richard Carlsson2011-08-301-11/+91
| | | | | | | | | | | | New macros assertNotMatch(Guard, Expr), assertNotEqual(Unexpected, Expr), and assertNotException(Class, Term, Expr). The debugMsg macro now also prints the pid of the current process. When testing all modules in a directory, tests in <Module>_tests.erl are no longer executed twice. The use of 'regexp' internally has been replaced with 're'.
* The R13B03 release.OTP_R13B03Erlang/OTP2009-11-201-0/+340