summaryrefslogtreecommitdiff
Commit message (Collapse)AuthorAgeFilesLines
* Regenerate ldefs-boot.elemacs-pretest-24.0.92Chong Yidong2011-12-011-3/+3
|
* REALLY fix bug #10164 with crashes when linum-mode is turned on.Eli Zaretskii2011-11-302-4/+6
| | | | | | src/dispnew.c (adjust_glyph_matrix): Remove the assertion that verifies glyph row's hash code--we have just reallocated the glyphs, so their contents can be complete garbage.
* Fix typos.Juanma Barranquero2011-11-308-17/+24
|
* Bump version to 24.0.92.Chong Yidong2011-12-0115-398/+426
| | | | Regenerate AUTHORS and ldefs-boot.el.
* Fix typo in comment.Chong Yidong2011-11-301-1/+1
|
* * lisp/gnus/message.el (message-pop-to-buffer): Revert 2011-09-11 change.Stefan Monnier2011-11-302-2/+7
|
* src/dispnew.c (adjust_glyph_matrix) [XASSERTS]: Add missing check.Juanma Barranquero2011-11-302-1/+5
|
* Fix bug #10164 with assertion violations in linum-mode.Eli Zaretskii2011-11-302-3/+10
| | | | | | src/dispnew.c (adjust_glyph_matrix) [XASSERTS]: Ensure ROW's attributes are tested _before_ calling verify_row_hash, to protect against GCC re-ordering of the tests.
* * emacsclient.c (main): Condition last change on WINDOWSNT (Bug#10155).Chong Yidong2011-11-302-0/+10
|
* gnus-art.el (gnus-article-browse-html-parts): Fix previous commit.Katsumi Yamaoka2011-11-301-6/+7
|
* Fix gdb-mi.el bug#9853, bug#9858, and bug#9878.Ken Brown2011-11-292-10/+36
| | | | | | | | | | | | | | | * lisp/progmodes/gdb-mi.el (gdb-version): Remove defvar. (gdb-supports-non-stop): New defvar, replacing `gdb-version'. (gdb-gud-context-command, gdb-non-stop-handler) (gdb-current-context-command, gdb-stopped): Use it. (gdb-init-1): Enable pretty printing here. (gdb-non-stop-handler): Don't enable pretty-printing here. Check to see if the target supports non-stop mode; if not, turn off non-stop mode. Use the following. (gdb-check-target-async): New defun. (gud-watch, gdb-stopped): Fix whitespace. (gdb-get-source-file): Don't try to display the source file if `gdb-main-file' is nil.
* gnus-art.el (gnus-article-browse-html-parts): Convert link file names for ↵Katsumi Yamaoka2011-11-302-0/+12
| | | | Cygwin.
* * lisp/align.el: Try to generate fewer markers.Stefan Monnier2011-11-292-39/+48
| | | | | | | (align--set-marker): New macro. (align-region): Use it. Fixes: debbugs:10047
* Update verilog-mode.el from master.Wilson Snyder2011-11-291-0/+155
| | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | | * progmodes/verilog-mode.el (verilog-pretty-expr): Rework verilog-pretty-expr to handle new assignment operators in system verilog, such as += *= and the like. (verilog-assignment-operator-re): Regular expression to find the assigment operator in a verilog assignment. (verilog-assignment-operation-re): Regular expression to find an assignment statement for pretty-expr. (verilog-in-attribute-p): Query returns true if point is in an attribute context; used to skip these for expression line up from pretty-expr. (verilog-in-parameter-p): Query returns true if point is in an parameter definition context; used to skip these for expression line up from pretty-expr. (verilog-in-parenthesis-p): Query returns true if point is in a parenthetical expression, specifically ( ) but not [ ] or { }; used by pretty-expr. (verilog-just-one-space): If there is no space, don't add one. (verilog-get-lineup-indent-2): Specifically skip just attribute contexts for expression lineup, rather than skipping all parenthetical expressions. (verilog-calculate-indent): Fix comment, and fix indent. (verilog-do-indent): Indent declarations in lists (suggested by Joachim Lechner). (verilog-mode-abbrev-table): Populate abbrev mode with the various skeleton items. (verilog-sk-ovm-class): Add skeleton for OVM classes (reported by Alain Mellan). 29 Wilson Snyder <wsnyder@wsnyder.org> * verilog-mode.el (verilog-read-defines): Fix reading parameters with embedded comments. Reported by Ray Stevens. (verilog-calc-1, verilog-fork-wait-re) (verilog-forward-sexp, verilog-wait-fork-re): Fix indentation of "wait fork", bug407. Reported by Tim Holt. (verilog-auto): Fix AUTOing a upper module then AUTOing module instantiated by upper module causing wrong expansion until AUTOed a second time. Reported by K C Buckenmaier. (verilog-diff-auto): Fix showing .* as a difference when `verilog-auto-star-save' off. Reported by Dan Dever. (verilog-auto-reset, verilog-read-always-signals) (verilog-auto-reset-blocking-in-non): Fix AUTORESET including temporary signals in reset list if verilog-auto-reset-blocking-in-non is nil, and match assignment style to each signal's assignment type, bug381. Reported by Thomas Esposito. (verilog-sk-uvm-class, verilog-uvm-begin-re, verilog-uvm-end-re) (verilog-uvm-statement-re): Support UVM indentation and highlighting, with old OVM keywords only. (verilog-auto-tieoff, verilog-auto-tieoff-declaration): Support AUTOTIEOFF creating non-wire data types. Suggested by Jonathan Greenlaw. (verilog-auto-insert-lisp, verilog-delete-to-paren) (verilog-forward-sexp-cmt, verilog-forward-sexp-ign-cmt) (verilog-inject-sense, verilog-read-inst-pins) (verilog-read-sub-decls, verilog-read-sub-decls-line): Fix mismatching parenthesis inside commented out code when deleting AUTOINST, bug383. Reported by Jonathan Greenlaw. (verilog-auto-ascii-enum): Fix AUTOASCIIENUM one-hot with non-numeric vector width. Reported by Alex Reed. (verilog-auto-ascii-enum): Add "onehot" option to work around not detecting signals with parameter widths. Reported by Alex Reed. (verilog-auto-delete-trailing-whitespace): With `verilog-auto-delete-trailing-whitespace' remove trailing whitespace in auto expansion, bug371. Reported by Brad Dobbie. (verilog-run-hooks, verilog-scan-cache-flush, verilog-syntax-ppss): Fix verilog-scan-cache corruption when running user AUTO expansion hooks that call indentation routines. (verilog-simplify-range-expression): Fix typo ignoring lower case identifiers. (verilog-delete-auto): Fix delete-autos to also remove user created automatics, as long as they start with AUTO. (verilog-batch-diff-auto, verilog-diff-auto) (verilog-diff-function): Add `verilog-diff-auto' and bind to "C-c?" to report differences in AUTO expansion, ignoring spaces. (verilog-backward-syntactic-ws-quick, verilog-beg-of-defun-quick) (verilog-in-paren-quick, verilog-re-search-backward-quick) (verilog-re-search-forward-quick, verilog-syntax-ppss): Fix calling `syntax-ppss' when inside auto expansions as the ppss hook is disabled and its cache will get corrupt, causing AUTOS not to expand. Instead use only -quick functions. (verilog-scan-region): Fix scanning over escaped quotes. (verilog-inside-comment-or-string-p, verilog-inside-comment-p) (verilog-re-search-backward-quick) (verilog-re-search-forward-quick, verilog-scan): verilog-scan and related functions now ignore strings, to fix misparsing of strings with magic comments embedded in them. (verilog-read-auto-template): Fix 'verilog-auto-inst-template-numbers' with extra newline before (. Reported by Brad Dobbie. (verilog-read-auto-template): Fix 'verilog-auto-inst-template-numbers' with comments. Reported by Brad Dobbie. (verilog-auto-inst, verilog-auto-inst-param) (verilog-auto-inst-sort): Add 'verilog-auto-inst-sort' to reduce merge conflicts with AUTOINST, bug358. Reported by Brad Dobbie. (verilog-auto-inst-template-numbers): Add 'lhs' policy for debugging templates without merge conflicts, bug357. Reported by Brad Dobbie. (verilog-read-auto-template): Fix verilog-auto-inst-template-numbers with multiple templates. Reported by Brad Dobbie. (verilog-define-abbrev): Fix verilog-mode abbrevs to be system abbrevs so user won't be asked to save. (verilog-read-auto-lisp-present): Fix to start at beginning of buffer in case called outside of verilog-auto. (verilog-simplify-range-expression): Fix AUTOWIRE expanding "X-1+1" to "X-2". Reported by Matthew Myers. (verilog-auto, verilog-auto-inout-in): Add AUTOINOUTIN for creating all inputs from module templates. Reported by Leith Johnson. (verilog-module-inside-filename-p): Fix locating programs as with modules. (verilog-auto-inst-port): Fix vl-width expressions when using verilog-auto-inst-param-value, bug331. Reported by Julian Gorfajn. (verilog-decls-get-regs, verilog-decls-get-signals, verilog-decls-get-vars, verilog-decls-get-wires, verilog-decls-new, verilog-modi-cache-add-vars, verilog-modi-cache-add-wires, verilog-read-decls): Combine reg and wire structures into one var structure to represent SystemVerilog concepts. (verilog-auto-ascii-enum, verilog-auto-logic, verilog-auto-reg) (verilog-auto-reg-input, verilog-auto-tieoff, verilog-auto-wire) (verilog-auto-wire-type, verilog-insert-definition): Add verilog-auto-wire-type and AUTOLOGIC to support using SystemVerilog "logic" keyword instead of "wire"/"reg". (verilog-auto-reg-input, verilog-decls-get-signals): Fix AUTOWIRE to declares outputs that also have assignments (presumably in an ifdef or generate if so there's not a driver conflict). Reported by Matthew Myers. (verilog-auto-declare-nettype, verilog-insert-definition): Add verilog-auto-declare-nettype to fix declarations using `default_nettype none. Reported by Julian Gorfajn. (verilog-read-always-signals-recurse, verilog-read-decls) (verilog-read-sub-decls-gate): Fix infinite loop with (*) and malformed end statement, bug325. Reported by Joshua Wise and Andrew Drake. (verilog-auto-star-safe, verilog-delete-auto-star-implicit) (verilog-inst-comment-re): Fix not deleting Interfaced comment when expanding .* in interfaces, bug320. Reported by Pierre-David Pfister. (verilog-read-module-name): Fix import statements between module name and open parenthesis, bug317. Reported by Pierre-David Pfister. (verilog-simplify-range-expression): Fix simplification of multiplications inside AUTOWIRE connections, bug303. (verilog-auto-inst-port): Support parameter expansion in multidimensional arrays. (verilog-read-decls): Fix AUTOREG etc looking for "endproperty" after "assert property". Reported by Julian Gorfajn. (verilog-simplify-range-expression): Fix "couldn't merge" errors with multiplication, bug303. (verilog-read-decls): Fix parsing of unsigned data types, bug302. Reported by Jan Frode Lonnum.
* * lisp/isearch.el (isearch-yank-x-selection): Deactivate mark.Stefan Monnier2011-11-292-1/+8
| | | | Fixes: debbugs:10022
* * xterm.c (handle_one_xevent): Only set async_visible and friendsJan Djärv2011-11-293-2/+19
| | | | | | | | | | if net_wm_state_hidden_seen is non-zero (get_current_wm_state): Set net_wm_state_hidden_seen to 1 if _NET_WM_STATE_HIDDEN is in NET_WM_STATE. * xterm.h (struct x_output): net_wm_state_hidden_seen is new. Fixes: debbugs:10002
* Update doc for behavior of TAB in Text based modes.Chong Yidong2011-11-293-39/+59
| | | | | * lisp/indent.el (indent-for-tab-command, indent-according-to-mode): Doc fix. (indent-region): Doc fix. Switch nested ifs to equivalent cond.
* * vc/diff-mode.el (diff-find-file-name): Make `read-file-name'Michael Albinus2011-11-292-3/+10
| | | | aware of remote file names. (Bug#10124)
* * frame.el (auto-raise-mode, auto-lower-mode): Doc fix.Chong Yidong2011-11-292-9/+25
|
* * lisp/files.el (find-file): Don't use force-same-window.Stefan Monnier2011-11-283-20/+32
| | | | | | | | * lisp/window.el (switch-to-buffer): Better match Emacs-23 behavior and only use pop-to-buffer if the selected window can't be used. (pop-to-buffer-same-window): Use display-buffer--same-window-action. Fixes: debbugs:10144
* Prevent Diff Mode from stealing M-z binding.Eli Zaretskii2011-11-282-1/+6
| | | | | lisp/vc/diff-mode.el (diff-mode-map): Don't inherit 'z' => 'M-z' from special-mode-map.
* Updates to Text chapter of manual.Chong Yidong2011-11-283-63/+68
| | | | | * doc/emacs/text.texi (Words): Add xref to Position Info. (Paragraphs): Add xref to Regexps.
* Auto-commit of generated files.Glenn Morris2011-11-282-2/+5
|
* Update Indentation chapter of Emacs manual.Chong Yidong2011-11-286-210/+202
| | | | | | | | | | | * indent.texi (Indentation): Rewrite introduction. Move table to Indentation Commands node. (Indentation Commands): Add index entries to table. Copyedits. (Tab Stops, Just Spaces): Copyedits. (Indent Convenience): New node. Document electric-indent-mode. * programs.texi (Basic Indent): * windows.texi (Pop Up Window): Fix kindex entry.
* erc-dcc: allow SEND commands containing quoted filenames with spaces in themJulien Danjou2011-11-282-5/+24
| | | | | | | | * erc-dcc.el (erc-dcc-ctcp-query-send-regexp): Updated regexp to match quoted filenames with spaces inside. (erc-dcc-handle-ctcp-send): Updated regexp match group numbers, added processing of escaped quotes and backslashes if filename itself was in quotes.
* Remove GCPRO-related macros that exist only to avoid shadowing locals.Paul Eggert2011-11-286-149/+143
| | | | | | | | | * lisp.h (GCPRO1_VAR, GCPRO2_VAR, GCPRO3_VAR, GCPRO4_VAR, GCPRO5_VAR) (GCPRO6_VAR, UNGCPRO_VAR): Remove. See <http://lists.gnu.org/archive/html/emacs-diffs/2011-11/msg00265.html>. All uses changed to use GCPRO1 etc. (GCPRO1, GCPRO2, GCPRO3, GCPRO4, GCPRO5, GCPRO6, UNGCPRO): Revert to old implementation (i.e., before 2011-03-11).
* * emacs-lisp/easy-mmode.el (define-minor-mode): Fix default doc.Chong Yidong2011-11-282-4/+8
|
* More updates to Modes chapter of Emacs manual.Chong Yidong2011-11-283-110/+160
| | | | | | | | | | * doc/emacs/modes.texi (Major Modes): Move major-mode variable doc here from Choosing Modes. Document describe-mode. Document prog-mode-hook and text-mode-hook. Add example of using hooks. (Minor Modes): Document behavior of mode command calls from Lisp. Note that setting the mode variable using Customize will DTRT. (Choosing Modes): Add example of setting a minor mode using a local variable.
* Truncate scroll runs that copy to where we copied to.YAMAMOTO Mitsuharu2011-11-282-8/+72
| | | | | | * dispnew.c (scrolling_window): Truncate overlaps in copy destination of scroll runs so as to avoid assigning disabled bogus rows and unnecessary graphics copy operations.
* Fix MS-Windows build with MSVC compiler.Eli Zaretskii2011-11-2710-14/+71
| | | | | | | | | | | | | | | | | | | | | | | | | | | Parts of the changes by Fabrice Popineau <fabrice.popineau@supelec.fr>. lib-src/makefile.w32-in (LOCAL_FLAGS): Add $(EMACS_EXTRA_C_FLAGS). lib-src/emacsclient.c (main) <environ>: Remove declaration, already pulled in by unistd.h on Posix hosts and stdlib.h on MS-Windows. nt/inc/stdint.h (uint32_t, uint64_t) [_WIN64]: New typedefs. (UINT64_MAX) [_WIN64]: Fix definition. (uintmax_t, intmax_t): Fix definitions. nt/inc/inttypes.h (strtoumax, strtoimax) [!__MINGW32__]: Provide correct definitions. nt/config.nt (HAVE_DECL_STRTOLL): Define. (va_copy) [_WIN64]: Provide a better definition. src/s/ms-w32.h (utimbuf) [_MSC_VER]: Don't define. (snprintf) [_MSC_VER]: Redirect to _snprintf. (strtoll) [_MSC_VER]: Redirect to _strtoi64. (malloc, free, realloc, calloc): Redirect to e_* only when compiling Emacs. src/lisp.h (GCTYPEBITS): Move before first use. (ALIGN_GCTYPEBITS) [_MSC_VER]: Define. (DECL_ALIGN) [_MSC_VER]: Use it, as MSVC doesn't like bit ops in this macro definition. (tzname): Redirect to _tzname for all values of _MSC_VER. Fixes: debbugs:9960
* Work around an Ubuntu 11.10 bug where Emacs loops forever when started.Jan Djärv2011-11-274-2/+20
| | | | | | | | * configure.in: Check for gtk_window_set_has_resize_grip. * src/gtkutil.c (xg_create_frame_widgets): Call gtk_window_set_has_resize_grip (FALSE) if that function is present with Gtk+ 2.0.
* Spelling fixes (mostly "inactive" vs "deactivate").Paul Eggert2011-11-2717-31/+31
|
* progmodes/gdb-mi.el (gdb-init-1): Condition execution of ↵Nick Roberts2011-11-272-4/+9
| | | | gdb-get-source-file-list on gdb-create-source-file-list.
* More updates for the Frames chapter in Emacs manual.Chong Yidong2011-11-275-277/+180
| | | | | | | | | | | | | | | | | | * doc/emacs/frames.texi (Creating Frames): Move frame parameter example to Frame Parameters node. (Frame Commands): C-x 5 o does not warp the mouse by default. (Fonts): Add more GTK-style properties; also, they should be capitalized. (Special Buffer Frames): Node deleted; special-display is on the way out. (Frame Parameters): Example moved here from Creating Frames. Clarify that default-frame-alist affects the initial frame too. Delete auto-raise-mode and auto-lower-mode. (Wheeled Mice): Node deleted. Content moved to Mouse Commands. (Dialog Boxes): Delete x-gtk-use-old-file-dialog. * doc/emacs/windows.texi (Window Choice): Add xref to Lisp manual for special-display-*.
* Spelling fixes.Paul Eggert2011-11-2686-223/+221
|
* * fileio.c (Finsert_file_contents): Undo previous change.Paul Eggert2011-11-262-2/+7
| | | | See <http://lists.gnu.org/archive/html/emacs-diffs/2011-11/msg00265.html>.
* Clarify documentation of wrap-prefix and line-prefix.Eli Zaretskii2011-11-263-13/+26
| | | | | | | doc/lispref/display.texi (Truncation): doc/lispref/text.texi (Special Properties): Describe what a stretch-glyph is instead of using that term without explanation. Make the cross-references more accurate.
* Update and enhance documentation of display of control characters.Eli Zaretskii2011-11-264-34/+57
| | | | | | | | | doc/emacs/display.texi (Text Display): Update the description, cross-references, and indexing related to display of control characters and raw bytes. doc/lispref/display.texi (Usual Display): Update the description, cross-references, and indexing related to display of control characters and raw bytes.
* src/dispnew.c (update_window): Fix outdated commentary.Eli Zaretskii2011-11-261-3/+4
|
* * grammars/bovine-grammar.el (bovine--grammar-newstyle-unquote):Andreas Schwab2011-11-262-1/+4
| | | | Avoid warning about old-style backquote.
* * grammars/bovine-grammar.el (auto-mode-alist): Change `$' to `\''Andreas Schwab2011-11-263-5/+15
| | | | | | | | | in regexp. (bovine-make-parsers): Likewise. Quote `.'. * grammars/wisent-grammar.el (auto-mode-alist): Change `$' to `\'' in regexp. (wisent-make-parsers): Likewise. Quote `.'.
* Tweak whitespace-newline color for 16-color displays.Eli Zaretskii2011-11-262-1/+10
| | | | | lisp/whitespace.el (whitespace-newline): Use a different foreground color for 16-color light-background displays.
* Spelling fixes.Paul Eggert2011-11-2613-14/+14
|
* Rename locals to avoid shadowing.Paul Eggert2011-11-253-16/+28
| | | | | | | | * fileio.c (Finsert_file_contents): Rename inner 'gcpro1' to 'inner_gcpro1' to avoid shadowing. * process.c (wait_reading_process_output): Rename inner 'proc' to 'p' to avoid shadowing. Indent for consistency with usual Emacs style.
* Add grammar files for grammar-wy.el and wrt-wy.el.Chong Yidong2011-11-2612-360/+1350
| | | | | | | Regenerate the relevant CEDET parsers. * admin/grammars/bovine-grammar.el: * admin/grammars/wisent-grammar.el: Add scripts for generating the parsers.
* Fix bug #10119 with C-e and whitespace-mode.Eli Zaretskii2011-11-252-2/+11
| | | | | | src/xdisp.c (start_display): Don't move to the next line if the display should start at a newline that is part of a display vector or an overlay string.
* Fixes and updates for Frames chapter in Emacs manual.Chong Yidong2011-11-255-111/+142
| | | | | | | | | | | | | | | | * doc/emacs/files.texi (Visiting): `C-x 5 f' works on ttys too. * doc/emacs/frames.texi (Frames): Rewrite introduction. (Mouse Commands): Default for mouse-drag-copy-region is now t. The mouse-save-then-kill-command does not copy to kill ring by default. Behavior of DEL is not special to mouse commands now. (Mouse References): Document mouse-1-click-follows-link more thoroughly. (Menu Mouse Clicks): Move footnote to the main text and add xref to Init Rebinding node. (Mode Line Mouse): Mouse-3 on the mode-line does not bury buffer. * lisp/window.el (display-buffer--special-action): Minor doc fix.
* Fix a thinko in 2011-11-25T11:32:14Z!eliz@gnu.org.Eli Zaretskii2011-11-251-2/+3
|
* Fix description of window-combination-limit.Martin Rudalics2011-11-252-2/+8
| | | | | * windows.texi (Splitting Windows): Fix description of window-combination-limit. Suggested by Eli Zaretskii.
* Fix typos.Juanma Barranquero2011-11-2520-83/+98
|