summaryrefslogtreecommitdiff
path: root/lisp/progmodes/verilog-mode.el
diff options
context:
space:
mode:
authorPaul Eggert <eggert@cs.ucla.edu>2011-11-17 01:09:20 -0800
committerPaul Eggert <eggert@cs.ucla.edu>2011-11-17 01:09:20 -0800
commit4c36be58ca2b4777a5e7bf0d3e692cfd9fa1aea3 (patch)
tree04a24b4e53c431ec3deb9281a0454ede7ace7337 /lisp/progmodes/verilog-mode.el
parentb6e63cbebdac53be5ba3ce9261d7edc8dfda8bf5 (diff)
downloademacs-4c36be58ca2b4777a5e7bf0d3e692cfd9fa1aea3.tar.gz
Spelling fixes.
Diffstat (limited to 'lisp/progmodes/verilog-mode.el')
-rw-r--r--lisp/progmodes/verilog-mode.el6
1 files changed, 3 insertions, 3 deletions
diff --git a/lisp/progmodes/verilog-mode.el b/lisp/progmodes/verilog-mode.el
index 3a3c7f0baa3..2d8334bcb7d 100644
--- a/lisp/progmodes/verilog-mode.el
+++ b/lisp/progmodes/verilog-mode.el
@@ -913,7 +913,7 @@ the MSB or LSB of a signal inside an AUTORESET."
(put 'verilog-assignment-delay 'safe-local-variable 'stringp)
(defcustom verilog-auto-arg-sort nil
- "*If set, AUTOARG signal names will be sorted, not in delaration order.
+ "*If set, AUTOARG signal names will be sorted, not in declaration order.
Declaration order is advantageous with order based instantiations
and is the default for backward compatibility. Sorted order
reduces changes when declarations are moved around in a file, and
@@ -2151,7 +2151,7 @@ find the errors."
"interface" "endinterface"
"module" "macromodule" "endmodule"
"package" "endpackage"
- "primitive" "endprimative"
+ "primitive" "endprimitive"
"program" "endprogram"
"property" "endproperty"
"sequence" "randsequence" "endsequence"
@@ -3579,7 +3579,7 @@ With ARG, first kill any existing labels."
"Move backward to beginning of statement."
(interactive)
;; Move back token by token until we see the end
- ;; of some ealier line.
+ ;; of some earlier line.
(let (h)
(while
;; If the current point does not begin a new