summaryrefslogtreecommitdiff
path: root/Demo/tix
Commit message (Expand)AuthorAgeFilesLines
* Patch #1462222: Fix Tix.Grid. Closes #1036406.Martin v. Löwis2006-04-101-0/+28
* Whitespace normalization, via reindent.py.Tim Peters2004-07-1815-350/+344
* Replace backticks with repr() or "%r"Walter Dörwald2004-02-121-1/+1
* Tix update from Mike Clarkson (maintainer)Neal Norwitz2002-12-302-10/+15
* Upgrade to Tix-8.1.4 from Mike Clarkson (the maintainer)Neal Norwitz2002-12-101-6/+1
* Patch #649184: Update to tix-8.1.4 RC3.Martin v. Löwis2002-12-061-7/+8
* Sync tixwidgets from Mike Clarkson, a maintainerNeal Norwitz2002-11-141-152/+147
* Patch #485959: Various changes to Tix demos.Martin v. Löwis2002-03-1714-302/+401
* SF #517447, correct syntax errorNeal Norwitz2002-03-011-2/+1
* Properly set static options for tixBalloon and tixResizeHandle.Martin v. Löwis2001-11-252-50/+193
* Patch #473002: Update Demo/tix tixwidgets.py et al.Martin v. Löwis2001-11-117-154/+542
* [].index() raises ValueError if the value is not in the list, so onlyFred Drake2001-05-111-1/+1
* [].index() raises ValueError if the value is not in the list, so onlyFred Drake2001-05-111-1/+1
* Patch #410231: Add the Python Tix library.Martin v. Löwis2001-03-2137-0/+2528