From dd5afe1e50afd63923e507f163f9f4f4ee81d98f Mon Sep 17 00:00:00 2001 From: Jens Georg Date: Sun, 2 Aug 2020 09:59:40 +0200 Subject: test: Fix typo --- tests/rygel-meta-config-test.vala | 10 ++++------ tests/time-seek/rygel-http-time-seek-test.vala | 2 +- 2 files changed, 5 insertions(+), 7 deletions(-) diff --git a/tests/rygel-meta-config-test.vala b/tests/rygel-meta-config-test.vala index ac9cc216..b825a17d 100644 --- a/tests/rygel-meta-config-test.vala +++ b/tests/rygel-meta-config-test.vala @@ -1,10 +1,8 @@ class TestConfig : Rygel.BaseConfiguration { public HashTable enable = new HashTable (str_hash, str_equal); - public void toggl_enable (string module) { - print("%s ->", enable[module].to_string ()); + public void toggle_enable (string module) { enable[module] = !enable[module]; - print("%s\n", enable[module].to_string ()); this.section_changed (module, Rygel.SectionEntry.ENABLED); } @@ -65,7 +63,7 @@ test_meta_config_overrides () { } }); - second_config.toggl_enable (SECTION_B); + second_config.toggle_enable (SECTION_B); instance.disconnect (id); // Check that changing a value on a lower priority will not @@ -73,7 +71,7 @@ test_meta_config_overrides () { id = instance.section_changed.connect ((section, entry) => { assert_not_reached (); }); - second_config.toggl_enable (SECTION_A); + second_config.toggle_enable (SECTION_A); instance.disconnect (id); // Check that changing a value on a higher priority will be @@ -87,7 +85,7 @@ test_meta_config_overrides () { assert_not_reached (); } }); - first_config.toggl_enable (SECTION_A); + first_config.toggle_enable (SECTION_A); instance.disconnect (id); Rygel.MetaConfig.cleanup (); diff --git a/tests/time-seek/rygel-http-time-seek-test.vala b/tests/time-seek/rygel-http-time-seek-test.vala index 1b51840a..1ae70504 100644 --- a/tests/time-seek/rygel-http-time-seek-test.vala +++ b/tests/time-seek/rygel-http-time-seek-test.vala @@ -84,4 +84,4 @@ int main(string[] args) { Test.add_func ("/server/time-seek/request", test_time_seek_malformed_header); return Test.run (); -} \ No newline at end of file +} -- cgit v1.2.1